Show simple item record

dc.contributor.authorTenentes, Vasileios
dc.contributor.authorRossi, Daniele
dc.contributor.authorYang, Sheng
dc.contributor.authorKhursheed, Saqib
dc.contributor.authorAl-Hashimi, Bashir M.
dc.contributor.authorGunn, Steve R.
dc.date.accessioned2017-11-22T17:32:08Z
dc.date.available2017-11-22T17:32:08Z
dc.date.issued2017-04-01
dc.identifier.citationTenentes , V , Rossi , D , Yang , S , Khursheed , S , Al-Hashimi , B M & Gunn , S R 2017 , ' Coarse-grained Online Monitoring of BTI Aging by Reusing Power Gating Infrastructure ' , IEEE Transactions on Very Large Scale Integration (VLSI) Systems , vol. 25 , no. 4 , pp. 1397 - 1407 . https://doi.org/10.1109/TVLSI.2016.2626218
dc.identifier.issn1063-8210
dc.identifier.otherPURE: 12470907
dc.identifier.otherPURE UUID: 89ba1078-b774-4fc6-8b22-3966b15b39c4
dc.identifier.otherScopus: 85000916397
dc.identifier.urihttp://hdl.handle.net/2299/19537
dc.descriptionThis is an Open Access article made available under the terms of the Creative Commons Attribution 3.0 License CC BY. For more information, see https://creativecommons.org/licenses/by/3.0/
dc.description.abstractIn this paper, we present a novel coarse-grained technique for monitoring online the bias temperature instability (BTI) aging of circuits by exploiting their power gating infrastructure. The proposed technique relies on monitoring the discharge time of the virtual-power-network during standby operations, the value of which depends on the threshold voltage of the CMOS devices in a power-gated design (PGD). It does not require any distributed sensors, because the virtual-power-network is already distributed in a PGD. It consists of a hardware block for measuring the discharge time concurrently with normal standby operations and a processing block for estimating the BTI aging status of the PGD according to collected measurements. Through SPICE simulation, we demonstrate that the BTI aging estimation error of the proposed technique is less than 1% and 6.2% for PGDs with static operating frequency and dynamic voltage and frequency scaling, respectively. Its area cost is also found negligible. The power gating minimum idle time (MIT) cost induced by the energy consumed for monitoring the discharge time is evaluated on two scalar machine models using either x86 or ARM instruction sets. It is found less than 1.3× and 1.45× the original power gating MIT, respectively. We validate the proposed technique through accelerated aging experiments conducted with five actual chips that contain an ARM cortex M0 processor, manufactured with a 65 nm CMOS technology.en
dc.format.extent11
dc.language.isoeng
dc.relation.ispartofIEEE Transactions on Very Large Scale Integration (VLSI) Systems
dc.subjectAging Monitoring
dc.subjectbias temperature instability (BTI)
dc.subjectpower gating
dc.subjectTemperature sensors
dc.subjectTemperature measurement
dc.subjectSystem-on-chip
dc.titleCoarse-grained Online Monitoring of BTI Aging by Reusing Power Gating Infrastructureen
dc.contributor.institutionSchool of Engineering and Technology
dc.description.statusPeer reviewed
rioxxterms.versionVoR
rioxxterms.versionofrecordhttps://doi.org/10.1109/TVLSI.2016.2626218
rioxxterms.typeJournal Article/Review
herts.preservation.rarelyaccessedtrue


Files in this item

Thumbnail

This item appears in the following Collection(s)

Show simple item record