Show simple item record

dc.contributor.authorSorkhpour, Babak
dc.contributor.authorObermaisser, Roman
dc.contributor.authorKirner, Raimund
dc.date.accessioned2020-08-29T00:03:19Z
dc.date.available2020-08-29T00:03:19Z
dc.date.issued2020-05-18
dc.identifier.citationSorkhpour , B , Obermaisser , R & Kirner , R 2020 , Scenario-Based Meta-Scheduling for Energy-Efficient, Robust and Adaptive Time-Triggered Multi-Core Architectures . in AmE 2020 : Automotive meets Electronics; 11th GMM-Symposium . Institute of Electrical and Electronics Engineers (IEEE) , pp. 93-109 , 11th GMM-Symposium Automotive meets Electronics , Dortmund , Germany , 10/03/20 . < https://ieeexplore.ieee.org/document/9094567 >
dc.identifier.citationconference
dc.identifier.isbn9783800752027
dc.identifier.urihttp://hdl.handle.net/2299/23088
dc.description© 2020 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising or promotional purposes, creating new collective works, for resale or redistribution to servers or lists, or reuse of any copyrighted component of this work in other works.
dc.description.abstractComplex electronic systems are used in safety-critical applications (e.g., aerospace, nuclear stations), for which the certification standards demand the use of assured design methods and tools. Meta-scheduling is a way to manage the complexity of adaptive systems via predictable behavioural patterns established by static scheduling algorithms. This paper proposes a meta- scheduling algorithm for adaptive time-triggered systems based on Networks-on-a-Chip (NoCs). The meta-scheduling algorithm computes an individual schedule for each dynamic event of slack occurrence. Each dynamic slack occurrence triggers the shift to a more energy-efficient schedule. Dynamic frequency scaling of cores and routers is used to improve the energy efficiency, while preserving the temporal correctness of time-triggered computation and communication activities (e.g., collision avoidance, timeliness). Mixed-Integer Quadratic Programming (MIQP) is used to optimise the schedules. Experimental results for an example scenario demonstrate that the presented meta-scheduling algorithm provides on average a power reduction of compared to the single slack schedule of using static slack scheduling.en
dc.format.extent17
dc.format.extent2129606
dc.language.isoeng
dc.publisherInstitute of Electrical and Electronics Engineers (IEEE)
dc.relation.ispartofAmE 2020
dc.subjectMPSoC
dc.subjectNoC
dc.subjectMIQP
dc.subjectscenario-based
dc.subjectmeta-scheduling
dc.subjectmixed-criticality
dc.subjecttime-triggered
dc.titleScenario-Based Meta-Scheduling for Energy-Efficient, Robust and Adaptive Time-Triggered Multi-Core Architecturesen
dc.contributor.institutionCentre for Computer Science and Informatics Research
dc.contributor.institutionSchool of Physics, Engineering & Computer Science
dc.contributor.institutionDepartment of Computer Science
dc.identifier.urlhttps://ieeexplore.ieee.org/document/9094567
rioxxterms.typeOther
herts.preservation.rarelyaccessedtrue


Files in this item

Thumbnail

This item appears in the following Collection(s)

Show simple item record